Application of Rapid Thermal Annealing Systems

Application of Rapid Thermal Processing EquipmentRapid thermal processing (or RTP) refers to a semiconductor manufacturing process which heats silicon wafers to high temperatures (up to 1200 C or greater) on a timescale of several seconds or less. The wafers must be brought down (temperature) slow enough however, so they do not break due to thermal shock..Such rapid heating rates are attained by high intensity lamps process. These processes are used for a wide variety of applications in semiconductor manufacturing including dopant activation, thermal oxidation, metal reflow and chemical vapor deposition.

Rapid thermal anneal (RTA) is a process used in semiconductor device fabrication which consists of heating a single wafer at a time in order to affect its electrical properties. Unique heat treatments are designed for different effects. Wafers can be heated in order to activate dopants, change film-to-film or film-to-wafer substrate interfaces, densify deposited films, change states of grown films, repair damage from ion implantation, move dopants or drive dopants from one film into another or from a film into the wafer substrate. Rapid thermal anneals are performed by equipment that heats a single wafer at a time using lamp based heating that a wafer is brought near. Unlike furnace anneals they are short in duration, processing each wafer in several minutes. Rapid thermal anneal is a subset of processes called Rapid Thermal Process (RTP).

Rapid thermal processing (RTP) provides a way to rapidly heat wafers to an elevated temperature to perform relatively short processes, typically less than 1-2 minutes long. Over the years, RTP has become essential to the manufacture of advanced semiconductors, where it is used for oxidation, annealing, silicide formation and deposition.

An RTP system heats wafers singly, using radiant energy sources controlled by a pyrometer that measures the wafer’s temperature. Previous thermal processing was based on batch furnaces, where a large batch of wafers is heated in a tube. Batch furnaces are still widely used, but are more appropriate for relatively long processes of more than 10 minutes.

RTP is a flexible technology that provides fast heating and cooling to process temperatures of ~200-1250°C with ramp rates typically 20-200°C/sec, combined with excellent gas ambient control, allowing the creation of sophisticated multistage processes within one processing recipe. This capability to process at elevated temperatures for short time periods is crucial because advanced semiconductor fabrication requires thermal budget minimization to restrict dopant diffusion. Replacement of the slower batch processes with RTP also enables some device makers to greatly reduce manufacturing cycle time, an especially valuable benefit during yield ramps and where cycle-time minimization has economic value.

RTP systems use a variety of heating configurations, energy sources and temperature control methods. The most widespread approach involves heating the wafer using banks of tungsten-halogen lamps because these provide a convenient, efficient and fast-reacting thermal source that is easily controlled. In a typical RTP system , the wafer is heated by two banks of linear lamps — one above and one below it. The lamps are further subdivided into groups or zones that can be individually programmed with various powers to maximize temperature uniformity. In RTP, the energy sources face the wafer surfaces rather than heating its edge, as happens in a batch furnace. Thus, RTP systems can process large wafers without compromising process uniformity or ramp rates. RTP systems frequently incorporate the capability to rotate the wafer for better uniformity.

An important RTP application is the activation of ion-implanted dopants to form ultrashallow junctions. This requires fast ramp and cooling capabilities because the wafer must be heated to ~1050°C to anneal out ion implantation damage and activate the implanted dopant species. However, the time at temperature must be reduced to minimize diffusion. This has led to the spike-anneal approach, where the wafer is ramped to a high temperature and then cooled immediately.

Another indispensable RTP application is in the formation of silicides. In this process, metal films react with the silicon on source/drain and gate regions to form silicides. In advanced logic processes, the metal is usually cobalt, but nickel is being explored for the 65 nm node. Silicide formation processes are usually performed at <500°C, and wafers must be kept in a very pure gas ambient because metal films can be sensitive to oxidation. RTP systems are ideal, because they have small chamber volumes easily purged with high-purity gas, creating a very clean environment.

RTP is also increasingly important in oxidation applications, where the capability to use short process times at high temperatures and a wide variety of gas ambients provides excellent quality films and superior process control. RTP-grown oxides are often used for gate dielectrics, tunnel oxides and shallow-trench isolation liners. The use of steam in the gas ambient has opened new RTP applications. One of special interest for advanced DRAM technology is the use of a hydrogen-rich steam ambient for selective oxidation of gate stacks that include tungsten.

Some solar cell companies have successfully applied our advanced Rapid Thermal Processing (RTP) technology to its process for creating highly efficient and durable CIGS solar cells. This eliminates a key process bottleneck found in many state-of-the-art process implementations and enables the use of low-cost substrates in ways that were not considered possible before.

In Rapid Thermal Processing, a layer is heated for a very brief period only in a highly controlled way. For instance, RTP techniques can flash-heat a layer for just several picoseconds and put energy just into the top several nanometers of a layer in a highly controlled way — while leaving the rest of the layer unaffected.

RTP has a secondary benefit of reducing the energy payback time of their solar cells to less than two months (for the full panel). By comparison, a typical silicon solar panel has an energy payback time of around three years, and a typical vacuum-deposited thin-film cell has one of 1-2 years. The energy payback time is the time that a solar panel has to be used in order to generate the amount of energy that it required to be produced.

RFQ-RTP

    Allwin21 Corp. is the exclusive licensed manufacturer of AG Associates Heatpulse 610 Rapid Thermal Process tool. For many years AG Associates was the dominant manufacturer of RTP systems in 1990's. Please help fill in the following Customer Survey Form for suitable production proven Rapid Thermal Processor model and configuration for your applications. Please go through the Q and A if necessary before you fill in the RFQ below. Appreciate your time. Thank you very much.

    1. Your Name(Required):

    2. Your Email(Required):

    3. Your Company Name(Required):

    4. Company Address:

    5. Which Model you are interested in?(Required):
    AccuThermo AW610M-~6inch,Bentch TopAccuThermo AW820M-~8inch,Stand AloneAccuThermo AW820V-~8inch,Stand Alone,Vacuum RTP,NOT RECOMMEND.Not sureOthers

    6. Substrate Sizes and Maximum Size(Required):
    <2 inch2 inch3 inch4 inch5 inch6 inch8 inchNot sureOthers

    7. Substrate Material(Required):
    SiSiCGaAsGaNGaInPInPThin Metal FilmOthersNot sure
    8.1 Amount of Gas lines (Required. Maximum 6 Gas lines ):
    123456OthersNot sure
    8.2 Gas Types(Required):
    N2O2ArNH3N2O2N2 Forming GasAr Forming GasOthersNot sure

    9. Highest Temperature Range (Required,°C) and Longest Steady Time(Seconds) (200°C-750°C with Qualified thin K Type TC ;400°C-1250°C with patented non-contact ERP Pyrometer ;400°C-1050°C with special shield TC with SiC Cap(LOW COST,NOT RECOMMENDED) ):

    10. Do you need Susceptor? If yes, pls specify the size and quantity. Our qualified susceptors (3 inch,4 inch, 5 inch, 6 inch, 8 inch, 4x2inch, 3x3inch, 16x2inch, 5x3inch, 4x4inch, Customized) are mainly for (1) Transparent compound materials wafers; (2) There is a metal thin film on wafer during RTP; (3)Small samples.

    11. Did you use any RTP which met your requirements? If yes, pls specify brand and model(Required).

    12. Do you know AG Associates , the dominant manufacturer of RTP systems in1990's ?If yes, pls tell us which model you know, Heatpulse 210,Minipulse 310, Heatpulse 410, Heatpulse 610, Heatpulse 610I, Heatpulse 4100, Heatpulse 4100S, Heatpulse 4108, Heatpulse 8108, Heatpulse 8800(Required).

    13. How do you evaluate the RTP performance? Pls specify the methods ( Resistivity , mV, mW, Appearance etc. ) and specifications if possible.

    14. Do you need Double O Ring for O2 sensitive applications or production(Most R&D and budget limited customers do not take this option):

    15. Do you need O2 Sensor/Analyzer for O2 sensitive applications or production (Most R&D and budget limited customers do not take this option):

    16. Do you need Integrated solid robot for automatic wafer transfer for production(Most R&D customers do not take this option):

    17. Approximate budget:
    < USD$ 50KUSD$ 50K-100KUSD$100K-200KUSD$ 200K-300KUSD$ 300K-400KUSD$ 400K-500K> USD$500KNot sureOthers

    18. Approximate purchasing time :
    <In 1 monthIn 2 monthsIn 3 monthsIn 6 monthsIn 9 monthsIn 12 monthsIn 24 monthsNot sureOthers

    19. Throughput Requirement :
    <R&D only<10 wafers per day<20 wafers per day<30 wafers per day<40 wafers per day<50 wafers per day<100 wafers per day<200 wafers per day<500 wafers per day>500 wafers per dayNot sureOthers

    20. Special Requirements:

    Include Files(Limit:3M File types:PDF|JPG|JEPG):
    Files1 :
    Files2 :
    Files3 :
    Files4 :
    Enter the characters shown in the image. captcha

    “>KEYWORDS: Rapid Thermal Process, Rapid Thermal Processing, Rapid Thermal Anneal, Rapid Thermal Annealing, Rapid Thermal Oxidation, Rapid Thermal Nitride, RTA, RTP, RTO, RTN,, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, AG210, AG310, AG 410, AG610, AG 610I, AG Associates, Heatpulse 210, Heatpulse 410, Minipulse 310, Heatpulse 610, Heatpulse 610I, AG Heatpulse 410, AG Heatpulse 610, AG Heatpulse 210, AG Minipulse 310, Atmospheric Rapid Thermal Process, Vacuum Rapid Thermal Process, Furnace, Oven, Thermal Furnace, Thermal Process, Thermal Processing,JIPELEC, ag2146,JetClip,JetStar, AST SHS2000, AST STEAG 2800,  ssintegration, Rapid Thermal Oxide,JetFirst ,Mattson, annealsys, heatpulse ,ag 2146,Koyo Thermo Systems,AST STEAG-MATTSON 2800, heat pulse, Solaris, Eclipse ,modularpro, RLA-1000, AG Heatpulse,  rapid thermal processor, Steag AST SHS2000, Solaris 75, Solaris75,STEAG Electronic Systems ,eng-sol, Annealsys, RLA-3000, Engineering Solutions ,Solaris 150, Rapid Thermal Annealer , AS-Master ,modularpro,RTO ,Modular  Process Technology, Solaris150,AS-One,AS-Micro, ADDAX, JetFirst, JetLight, JetStar